Verilog

  1. язык Verilog

#

язык описания аппаратуры, разработанный Филом Морби (Phil Morby) в 1984 г. в компании Cadence Design Systems. Базируется на языке Си и частично на Паскале; позволяет описывать электронное устройство как на уровне компонентов, плат, так и на уровне системы. В 1990 г. компания сделала его общедоступным (см. public domain), а в 1995 г. язык Verilog 1.0 был стандартизован (IEEE 1364-1995) и стал также называться Verilog-1995. В следующей версии, Verilog 2.0, язык стал стандартом IEEE 1364-2001, поэтому его часто называют Verilog-2001. Версия Verilog-A/MS предназначена для работы с аналоговыми или смешанными сигналами. Расширением стандартного Verilog-2001 стал язык SystemVerilog, или SystemVerilog 3.x.

Связные термины

HDL, public domain, VHDL

Все термины